KLA Corp logo

KLA Corp KLAC

Market Closed
26 Jul, 20:00
NASDAQ (NGS) NASDAQ (NGS)
$
787. 62
+24.81
+3.25%
After Hours
$
788. 00
+0.38 +0.05%
84.95B Market Cap
26.18 P/E Ratio
5.8% Div Yield
1,047,500 Volume
24.75 Eps
$ 762.81
Previous Close
Day Range
769.07 799
Year Range
440.15 896.32

KLAC Chart

KLA Corp Profile

Semiconductor Equipment & Materials Industry
Technology Sector
Mr. Richard P. Wallace CEO
NASDAQ (NGS) Exchange
US4824801009 ISIN
US Country
15,000 Employees
- Last Dividend
- Last Split
8 Oct 1980 IPO Date

Overview

KLA Corporation, with its global operations, is renowned for its development, manufacturing, and marketing of comprehensive process control, process-enabling, and yield management solutions specifically designed for the semiconductor and associated electronics industries. Structured into three operational segments—Semiconductor Process Control; Specialty Semiconductor Process; and PCB, Display and Component Inspection—the company is instrumental in advancing the functionality and reliability of microelectronic components. In July 2019, it underwent a rebranding from KLA-Tencor Corporation to KLA Corporation, marking a significant milestone since its foundation in 1975. Headquartered in Milpitas, California, KLA Corporation has become a pivotal figure in the tech world, providing essential tools, systems, and software for defect detection, process monitoring, and yield enhancement across various stages of the electronics manufacturing processes.

Products and Services

KLA Corporation's extensive range of products and services caters to numerous aspects of semiconductor manufacturing and quality assurance, leading to enhanced efficiency and productivity in the electronics industry. Below are key offerings:

  • Inspection and Review Tools: These tools are designed for identifying, locating, characterizing, reviewing, and analyzing defects across both patterned and unpatterned wafers, ensuring high-quality production outcomes.
  • Metrology Systems: Employed for measuring critical dimensions and other parameters such as film thickness, layer-to-layer alignment, and surface topography on wafers. These systems play a crucial role in maintaining the precision and quality of semiconductor devices.
  • Wafer Defect Inspection, Review, and Metrology Systems: Specifically targeting the detection and analysis of wafer imperfections, these systems contribute significantly to process optimization and yield improvement.
  • Reticle Inspection and Metrology Systems: These systems are dedicated to examining and measuring reticles, essential for ensuring the accuracy and quality of photomasks used in lithography.
  • Chemical Process Control Equipment: This equipment is vital for monitoring and control of chemical processes, ensuring consistent and high-quality outcomes in semiconductor manufacturing.
  • Sensor Wafers and Reticles: Offering both wired and wireless solutions, these products are imperative for real-time monitoring and control of semiconductor fabrication processes.
  • Semiconductor Software Solutions: KLA Corporation’s software solutions are instrumental in real-time process control, defect identification and classification, as well as facilitating rapid yield improvement, thereby mitigating production risk.
  • Wafer Processing Technologies and Solutions: These include etch, plasma dicing, and deposition technologies, among others, that are crucial for the semiconductor and microelectronics industries, enhancing the functionality and reliability of electronic devices.
  • PCB Market Solutions: Offering a spectrum of solutions for the PCB market, including direct imaging, inspection, optical shaping, inkjet and additive printing, as well as UV laser drilling and CAM engineering solutions to cater to the intricate demands of PCB manufacturing.
  • Display Market Systems: These systems are designed to improve the quality and reliability of display units through comprehensive inspection, defect repair, and electrical testing, tailored to identify and classify defects efficiently.
  • Semiconductor Packaging Inspection and Metrology Systems: Focused on enhancing quality control and yield in advanced and traditional semiconductor packaging, these systems are vital for the inspection and metrology of semiconductor packages.

Contact Information

Address: One Technology Drive, Milpitas, CA, United States, 95035
Phone: 408 875 3000